要闻频道: 行情 / 知识 / 新股 / 要闻 / 基金 /

HBM— 突破 “ 内存墙 ”

CPU 与存储之间 “ 内存墙 ”:随着摩尔定律的不断迭代,CPU运行速度迅速提高,CPU主频高达 5GHz,DRAM内存性能取决于电容器充放电速度和DRAM与CPU之间的接口带宽,存储性能比CPU提高得多,DRAM内存带宽成为制约计算机性能发展的重要瓶颈;

ØDRAM:DDR4内存主频为 2666~3200mHz,带宽为 6.4GB /s,但在AI应用中(高性能计算/数据中心),计算芯片的数据吞吐量峰值在 TB/s级,主流DRAM内存或显存带宽一般为几GB/s到几十GB/s量级,与计算芯片存在明显差距,形成“内存墙”。以Transformer模型为例,大多数模型每两年翻410倍,人工智能硬件上的内存大小仅以每年翻倍的速度增长;

Ø 内存墙问题不仅与内存容量有关,还包括内存传输带宽;内存容量和传输速度远远落后于硬件的计算能力。

DRAM 概念

Ø 在典型的DRAM中,每个芯片有8个DQ引脚(数据传输路径,用作处理器和存储器之间通信的数据总线,必须具有读写功能,因此具有双向特性),即数据输入/输出引脚;

Ø DIMM模块单元组成后(PCB板上安装的双列直插存储模块,包括多个存储芯片,用作PC或服务器中的主存储单元)共有64个DQ引脚。随着数据处理速度要求的不断提高,数据传输量也在增加,传统的DRAM DQ引脚的数量无法保证数据的快速通过;

Ø 传统的DRAM需要大量的空间与CPU/GPU等处理器通信。同时,包装形式需要通过引线键合或PCB连接。DRAM不能并行处理大量数据。

HBM 概念

Ø 随着2.5D/3D系统级包装(SiP)和硅通孔(TSV)技术日益成熟,为高带宽、大容量的存储产品提供了基础;

Ø HBM是高带宽存储器(Highband Memory)多个DRAM采用硅通孔TSV和微凸块技术垂直堆叠,可显著提高数据处理速度,提高性能,减少尺寸;

Ø 自2013年以来,JEDEC制定了HBM、HBM2、HBM2等高带宽存储器系列标准E,HBM3),其中HBM3与第二代标准相比有了显著提高,芯片单引脚率达到6.4Gbit/s,总带宽超过1TB///S。

HBM 技术特点— 高速/ 高带宽

Ø 最大输入/输出HBM2E和HBM3单引脚(I/O)速度分别达到3.2Gbit/s和6.4Gbit/s,7Gbit//低于GDDR5存储器的7Gbit/s,然而,通过更多的I/O数量,HBM的堆栈可以使总带宽远高于GDDR5;例如,HBM2带宽可以达到307 GB/s;

Ø 根据海力士官网的数据,HBM3E的数据处理速度相当于 1s 内下载 230 部全高清(FHD)优化后可用于处理人工智能领域的海量数据(每部5千兆字节,5GB)。

HBM 结构特点— 可扩展容量

HBM 结构特点— 可扩展容量

HBM 技术特点— 更低功耗

采用微凸块和TSV技术,存储和计算芯片信号传输路径短,单引脚I/O速率低,使HBM具有更好的内存功耗和能效特性;

Ø 基于DDR3存储器的单引脚I/O带宽功耗,HBM2的I/O功耗比明显低于DDR3/DDR4和GDDR5。与GDDR5存储器相比,HBM2的单引脚I/O带宽功耗比降低 42%

HBM 性能迭代趋势

禁止美国算力芯片

Ø 由于BIS 2022年高算力芯片规则3A090 控制指标很高。英伟达和其他制造商通过降低芯片互联速度继续向中国供应。与此同时,美国商务部认为,中国企业可以通过海外子公司或其他海外渠道获得先进的计算芯片,以避免许可证相关规定。2023年,新规修订了3A090芯片及相关项目的技术指标,扩大了高计算力芯片的许可要求和直接产品原则的适用范围,增加了先进计算的最终用途控制。

AI 计算能力驱动HBM 成最强 辅助

HBM 供给侧趋势

HBM 制造核心:

TSV 和封装

2.5D TSV结构图在封装中

HBM 中TSV 结构图

TSV 分类(按时间顺序)

TSV 工艺流程(通用)

TSV 工艺流程(2.55)D 硅中介层)

TSV 工艺流程(存储中的3D) 堆叠用途)

HBM 制造工艺流程

HBM 工艺过程中所需的设备

HBM 堆叠 核心:MR-MUF( 向上堆叠)

SK海力士表示,先进的MR-MUF堆叠技术加强了工艺效率和产品性能的稳定性;散热问题预计将成为HBM产品持续迭代的主要技术障碍;

Ø MR-MUF:半导体芯片堆叠后,为了保护芯片和芯片之间的电路,将液体形状的保护材料注入其空间,并固化封装技术。将其与每个堆叠芯片的薄膜材料进行比较 工艺效率高, 散热也更有效;

Ø 具体步骤:1)连接芯片的微凸块采用金属塑料密封材料;2)一次性熔化所有微凸块,连接芯片和电路;3)芯片与芯片之间或芯片与载板之间的间隙填充,同时完成绝缘和塑料密封

HBM 堆叠核心:MR-MUF 与TC-NCF 对比

TC-NCF 通过间隙填充模塑在高温下渗透微凸块,同时需要外力;

MR-MUF 键合关键技术:① 芯片翘曲控制 ;② 间隙填充 -MUF 材料 ;

芯片翘曲控制与规模量产率有关;MUF 与芯片之间的间隙可靠性有关

MR-MUF (Mass Reflow – Molded Underfill)

MR-MUF :芯片之间以环氧树脂模塑料为填充材料,导热率比 TC-NCF 鉴于中间的非导电膜要高得多,鉴于 GPU 这是高功率芯片散热管理的重要优势之一;

MR-MUF 采用传统的倒装芯片大规模回流焊工艺堆叠芯片(整个吞吐量要高得多)批量堆叠工艺整体进行回流焊。

MR-MUF 挑战1:控制芯片翘曲

• 实现非常薄芯片的高堆叠,如果翘曲过大,就会导致结形成不准确。而且 TCB 其优点是可以更好地解决翘曲问题,这也是 TCB 成为 HBM 第一主流技术封装的原因;

• 与封装形式相比,英特尔在封装形式上 OSAT TCB封装和OEM封装更为广泛 ,在此之前,英特尔的专利细节很少,海力士的方法是在晶圆背面沉积一层预应力薄膜来控制翘曲。 HBM 封装多采用 TCB 。

图:在室温和高温下 芯片翘曲趋势

MR-MUF 挑战二:保证 EMC 没有空隙填充

• 底部填充的作用是为凸块提供支撑,但如果底部填充中有间隙,支撑就会减弱;同时,更密集的凸块和更窄的间隙使 HBM 底部填充胶分布难度系数较高;

• SK 3.海力士优化 3 个方面:1 1 )定制模塑工具,芯片面朝下;2. 2 ) EMC 点胶方法;3. 3 ) EMC 两个不放 stack 在此之间,减少气流导致空气滞留在结构中,从而产生间隙。

图:芯片面朝下(左)/ / 晶圆级 MUF 点胶方式(右) /EMC 放置位置(下)

不同类型的底部填充工艺

非导电膜NCF晶圆级封装

底部需要填充倒装芯片的封装工艺,以保护凸块不受机械应力损坏的影响

①助焊剂点胶 ②倒装贴合 ③回流焊

④助焊剂清洗 ⑤底填点胶 ⑥固化

底部填充:使用环氧树脂模塑料 EMC 、胶水和薄膜填充孔,实现接缝保护;

芯片贴合3 3 有3 3 主要方法:1 1 ) MR ,2 2 ) TCNCP ,3 3 ) LAB

在预填充过程中,芯片级包装和晶圆级包装采用不同的填充方法。可以选择芯片级包装 NCP 或者 NCF ,晶圆级封装, NCF 底部填充的主要材料。

晶圆级封装,TC-NCF

NCF是一种在芯片之间堆叠薄膜的方法,

NCF与MR-导热率低于MUF;速度慢;

SK 使用HBM2e中的海力士 TC-NCF(ThermoCompression – Non-Conductive Film)

HBM 堆叠技术的发展趋势

Hybrid Bonding 混合键合

海力士正在加快新技术“混合键合”的发展。到目前为止,HBMDRAM芯片通过“微凸块”材料连接,芯片可以在没有凸块的情况下连接,从而显著降低芯片的厚度;

当间距小于20um时,热压键合过程中的轻微倾斜使钎焊变形挤出,导致桥连短路,难以进一步缩小互联间距;

HBM芯片的标准厚度为720um。预计2026年左右批量生产的第六代HBM4需要垂直堆叠16层DRAM芯片。目前的包装技术很难满足客户,因此混合键合的应用被认为是一种不可避免的趋势;

2023年,海力士用于第三代HBM产品(HBM2e)规格低于HBM4的混合键合技术测试;

与此同时,海力士计划将新一代HBM与逻辑芯片堆叠在一起,取消硅中介层。

混合键合分类(W2W 与D2W)

混合键对比分析(W2W vs D2W) )

混合键合成本比较

混合键合层工艺

混合键合设备

薄膜沉积设备

市场规模的封装设备

市场规模的固晶机

CMP (化学机械抛光)

与CMP减薄

LMC 成为新一代HBM 材料

LMC 成为新一代HBM

芯片、GMC和LMC采用压缩法密封芯片。其中,GMC具有操作简单、工作时间短、成本低等优点;LMC具有中低温固化、吸水率低、可靠性高等优点。

LMC可用于HBM封装,SK海力士在HBM3产品上使用MRM-MUF(Mass Reflow-Molded Underfill)该技术大大提高了散热性能。但与固态EMC相比,LMC填料含量较低

通富微电

公司继续开展以超大尺寸FO和2.5D技术为代表的新技术、新产品研发。根据2023年半年报,公司大型FO和2.5D产品开发顺利推进,已进入产品评估阶段;稳步推进3D低成本技术方案,完成工程验证;8K高清显示双面散热COF产品开发,进入批量生产阶段;继续推进FCBGA5/4/3nm新产品研发、先进的包装技术优势,如Chiplet,不断加强与客户的深度合作,满足客户人工智能计算能力的需求。

通过并购,公司与AMD形成了“合资+合作”的强联合模式,在客户资源方面建立了密切的战略合作伙伴关系,IP 与技术相结合,高度互补,有利于AMD 5G、进一步推进数据中心和汽车市场。该公司是AMD最大的封装测试供应商,占总订单的80%以上。

长电科技

长电技术提供集成电路系统集成、设计模拟、技术开发、产品认证、晶圆测试、晶圆中间包装测试、系统包装测试、芯片成品测试等全方位芯片成品制造一站式服务,在中国、韩国、新加坡拥有两个研发中心和六个集成电路成品生产基地。

XDFOI多维先进包装平台是长电科技推出的。该平台是Chiplet高密度、多扇出型包装高密度异构集成解决方案,利用协同设计理念实现芯片成品集成与测试集成,涵盖2D、2.5D、3D集成技术可以为客户提供一站式服务,从常规密度到极高密度,从极小尺寸到极大尺寸。XDFOI-2.5D是一种新型的TSV-less超高密度晶圆级包装技术,在系统成本和包装尺寸上具有一定的优势。在设计上,该技术可实现3-4层高密度布线,其线宽/线距最小可达2μm

拓荆科技

目前,拓荆科技已形成PECVDD、ALD、SACVD、HDPCVD等薄膜设备产品系列,推出晶圆级三维集成领域混合键合设备产品系列,适用于28/14nm逻辑芯片、19/17nm 64/128层DRAM芯片 3DNAND生产线,客户覆盖中芯国际、华虹集团、长江存储、长鑫存储等国内龙头厂商。

华海清科

华海清科产品包括Universal系列CMP设备、Versatile系列减薄设备、HSC系列清洗设备HSDS/HCDS系列供液系统、膜厚测量设备、晶圆再生、关键耗材及维修服务等技术服务,成功打造“设备+服务”平台战略布局。公司客户包括中芯国际、长江存储、华虹集团、长信存储等龙头企业

芯源微

芯源微产品包括光刻涂胶显影设备和单片湿法设备,可广泛应用于前晶圆加工、后先进封装、化合物等领域MEMS、LED 等小尺寸芯片制造领域。

作为中国唯一一家提供大规模生产前涂层显影仪的制造商,公司已完成前晶圆加工环节28nm及以上工艺节点的全覆盖。根据2023年半年报告,公司浸没式涂层显影仪客户端进口进展良好,超高温烘焙Barc设备实现客户重复订单;其他旋转涂层工艺SOC设备实现客户端进口。

盛美上海

盛美上海掌握了SAPS兆声波清洗技术、无应力抛光技术等核心技术,成功开发了单晶圆、槽湿清洗设备、电镀设备、无应力抛光设备、立式炉管设备、前涂层显影设备、等离子体增强化学气相沉积设备,致力于为半导体制造商提供定制、高性能、低消耗的工艺解决方案

耐科装备

耐科设备的主要产品是应用于半导体包装和塑料挤出成型领域的智能制造设备,包括半导体包装设备和模具、塑料挤出成型模具、挤出成型设备和下游设备。国内市场销售主要是半导体包装设备和模具,后三种设备主要销往海外市场。

半导体封装设备产品主要是半导体全自动塑料封装设备和半导体全自动切割成型设备,主要用于半导体产品关键工艺的塑料工艺。客户涵盖通福微电、华天科技、长电科技等头半导体 封装 企业。

华海诚科

公司专注于半导体包装材料的研发和工业化。产品包括环氧塑料包装和电子粘合剂,掌握了一系列核心技术,如高可靠性技术、翘曲控制技术、高导热技术、高性能粘合剂底部填充技术等。

环氧塑料密封:公司的环氧塑料密封可分为四个系列:基础、高性能、先进的包装和其他应用。其中,基础应用于TO、传统的包装形式,如DIP;SODD应用高性能产品、SOT等包装形式具有超低应力、高粘结力、高电性能、高可靠性等特点。

电子粘合剂:公司专注于芯片级电子粘合剂的技术研发。市场基本上由外国制造商垄断。该公司是中国为数不多的同时布局FC底填料和LMC的国内制造商之一

天承科技

公司专注于PCB功能性湿电子化学品,包括水平沉铜化学品、电镀化学品、铜表面处理化学品、SAP孔金属化化学品(ABF载板除胶沉铜)等。,应用于沉铜、电镀、棕化、粗化、微蚀、化学沉锡等生产环节。

水平沉铜专用化学品是公司的主要收入来源,2022年占75.48%。公司成功打造了四大系列水平沉铜专用化学品,具有盲孔处理能力优异、可靠性高等优点。

在封装基板方面,根据公司2023年10月投资者的研究记录,公司表示,预计2024年载板专用电子化学品销量将大幅增长。BT载板部分仍保持稳定增长,ABF载板部分将在2024年上涨。公司ABF载板的核心功能性湿电子化学品已通过客户认证。在FC-BGA领域,公司与主要客户的样品打样测试正在有序进行,与国际巨头安美特等公司处于同一起跑线。

雅克科技

公司拥有三大业务板块:电子材料、LNG保温板和阻燃剂,包括前体材料、光刻胶及配套试剂、电子特种气体、球形硅微粉和LDS设备。2023年,电子材料业务收入占66.47%。

光刻胶及配套试剂:公司积极实现面板用光刻胶全产品线覆盖,为三星电子,LG Display、京东方、华星光电、惠科等厂家批量供应产品。OLED低温RGB光刻胶和CNT防静电材料已正式批量生产;RGB光刻胶用于CMOS传感器,I-Line光刻胶用于RDL层的先进封装,客户端正在按计划进行测试。半导体工艺光刻胶和SOC材料的研发工作已经稳步进行,一些产品已经进入测试和导入阶段。DRAM产品能满足世界上最先进的存储芯片工艺1b、NAND200X层以上、3nm量产供应逻辑芯片。

新益昌

新益昌是中国领先的LED和半导体固晶机综合解决方案提供商,在电容器老化测试设备方面也具有领先优势。

在半导体设备领域,公司主要提供固晶设备和焊接设备。近年来,公司半导体固晶设备客户进口顺利,业务收入快速增长;此外,公司积极进入半导体焊接设备市场,实现固晶和焊接设备的协同销售,有效扩大产品应用和市场空间,帮助公司未来多元化增长。(华金研究日报)

相关阅读
  • 黄金价格上涨对黄金珠宝销售有什么影响?

    黄金价格上涨对黄金珠宝销售有什么影响?

    Q:黄金价格上涨对黄金珠宝销售有什么影响?A:自今年以来,金价的上涨实际上对我们的销售起到了积极的作用。由于大多数客户在购买黄金和珠宝时更倾向于在价格上涨时购买,即使黄金价格在短期内会犹豫,导致业绩暂时下降,只要黄金...

    2024-03-09 09:41:50
  • ZTE突然发现当时的中兴通讯并不是美国人想搞垮的

    ZTE突然发现当时的中兴通讯并不是美国人想搞垮的

    说到ZTE,也许在许多人看来,它是当年中国最大的通信交换设备公司之一,曾经被美国人彻底摧毁。在各种人类形象中,该公司的通信设备与华为之间的差距并不是一家数量级的公司。事实上,作者几年来也有过这个想法。现在,这家公司...

    2024-03-13 09:46:38
  • NV股价突破新高974美元后,大幅回调,单日从5%上涨到5%

    NV股价突破新高974美元后,大幅回调,单日从5%上涨到5%

    嗯,昨天NV股价突破新高974美元后,大幅回调,单日从5%上涨到5%下跌。发生了什么事:#NV回调主要受Option的影响⚡周五是Option集中行权日。近两个月来,NV股价最高涨幅超过100%,Call集中平仓,导致当日Option巨额交易,NV股价踩踏...

    2024-03-10 12:28:44
  • 20240310 铜铝从更大的赔率走向赔率和胜率

    20240310 铜铝从更大的赔率走向赔率和胜率

    20240310 铜铝从更大的赔率走向赔率和胜率1、赔率:铜和铝赔率较大的原因主要是由于以中国和美国为首的全球工业经济处于周期底部。此外,金铜比的高周期证实了商品交易反映了谨慎的经济预期,并没有透支。2、弹性:近三年来,...

    2024-03-11 09:42:12
  • 农历新年前后,市场趋势明显强劲,其中一个非常重要的原因是吴经

    农历新年前后,市场趋势明显强劲,其中一个非常重要的原因是吴经

    现在每天都很慢,没有时间写市场情况。我一直在关注它,但似乎没有什么特别大的变化,所以我懒得写它。今天,我将总结最近的市场情况。农历新年前后,市场趋势明显强劲,其中一个非常重要的原因是吴经理上任。回顾过去几轮大熊市...

    2024-03-11 18:39:51

本文HBM突破“内存墙”CPU与存储之间由壹米财经整理发布,欢迎转载收藏,转载请带上本文链接。
免责声明:【壹米财经】发布的所有信息,并不代表本站赞同其观点和对其真实性负责,投资者据此操作,风险请自担。部分内容文章及图片来自互联网或自媒体,版权归属于原作者,不保证该信息(包括但不限 于文字、图片、图表及数据)的准确性、真实性、完整性、有效性、及时性、原创性等,如有问题,请联系我们! 分享到: 新浪微博 微信

扫描左侧二维码
看手机移动端,随时随地看 股票 新闻